Erfaring med værktøjerne nedenfor, samt flere andre.
Hardware Description Language (HDL)
- VHDL
- Verilog
- SystemVerilog
Synthesis værktøjer
- Intel (Altera) Quartus samt Qsys
- Xilinx ISE og Vivado
- Lattice Diamond
Static Timing Analysis (STA)
- Synopsys PrimeTime
- Intel (Altera) TimeQuest
- FPGA native tools for bla. Lattice
Simulation
- Mentor ModelSim
- Aldec Riviera-PRO og Active-HDL
- Xilinx ISim
- Synopsys VCS
Verification sprog og frameworks
- Open Source VHDL Verification Methodology (OSVVM)
- SystemVerilog
- Assertion based simulation
- Aldec ALINT
C kode
- Applikationer til embedded CPU
- Drivere
- Reference modeller til test
Scripting for automatisering
- Python
- Tcl
- Make
Diverse
- Revisionskontrol: Git og SVN (Subversion)